Blog

Deep multilevel wet etching of fused silica glass microstructures in BOE solution | Scientific Reports

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

Scientific Reports volume  13, Article number: 5228 (2023 ) Cite this article Pcx Lenses

Deep multilevel wet etching of fused silica glass microstructures in BOE solution | Scientific Reports

Fused silica glass is a material of choice for micromechanical, microfluidic, and optical devices due to its chemical resistance, optical, electrical, and mechanical performance. Wet etching is the key method for fabricating of such microdevices. Protective mask integrity is a big challenge due extremely aggressive properties of etching solution. Here, we propose multilevel microstructures fabrication route based on fused silica deep etching through a stepped mask. First, we provide an analysis of a fused silica dissolution mechanism in buffered oxide etching (BOE) solution and calculate the main fluoride fractions like \({HF}_{2}^{-}\) , \({F}^{-}\) , \({(HF)}_{2}\) as a function of pH and NH4F:HF ratio. Then, we experimentally investigate the influence of BOE composition (1:1–14:1) on the mask resistance, etch rate and profile isotropy during deep etching through a metal/photoresist mask. Finally, we demonstrate a high-quality multilevel over-200 μm etching process with the rate up to 3 μm/min, which could be of a great interest for advanced microdevices with flexure suspensions, inertial masses, microchannels, and through-wafer holes.

Frederik Kotz, Patrick Risch, … Bastian E. Rapp

Yuhui Jin, Aize Li, … David L. Weidman

Mark MacKenzie, Haonan Chi, … Lynn Paterson

Fused silica glass wafers are widely used in micro-devices such as inertial sensors1, microfluidic systems2,3 and optical sensors4,5 due to their excellent mechanical, electrical, and optical properties, thermal and chemical stability, as well as biocompatibility. The structural elements of these devices usually contain flexure membranes 5–50 µm thick6,7, microchannels 10–100 µm deep8,9, or through holes for the entire depth of the substrate from 150 to 1000 µm10,11. In addition, micro devices often combine these elements into multilevel microstructures12. It is critically important to ensure high quality processing of fused glass microdevice elements, since it determine the optical, rheological, and mechanical parameters of the structures. There are some major glass microfabrication technologies: 3D-printing, mechanical, thermal, and chemical (dry and wet)13,14. Only chemical methods ensure obtaining smooth surfaces, which are critical for various optical, mechanical and microfluidic applications. In contrast to wet methods, plasma etching is prefer for silicon deep etching15 but it’s limited for fused silica by its low etch rate and depth of etching due to poor selectivity to protective masks16. That is why wet etching processes are still the key method of glass microdevices fabrication. It allows etching deep microstructures with an isotropic profile and low surface roughness at high etching rates (several μm/min)17. Fused silica etching is carried out in HF-based solution due to high chemical inertness of glass. Usually, buffer additives are added to hydrofluoric acid solutions to stabilize an etching rate, which is useful in the case of multicomponent glasses etching due to dissolution of reaction products18. However, protective mask stability and integrity in reactive etchants become the limiting factor (Table 1).

The material and properties of a protective mask, as well as composition of the etching solution, are the most important factors, which affect etching quality. Photoresists (AZ5214E, SPR220), metals (Au/Cr, Cr, Mo), and silicon-based (a:Si, bulk-Si) protective masks (Table 1) are the most commonly used solutions. The fabrication process complexity and required depths of fused silica microstructures determine the choice of mask materials for various devices. Thus, photoresist masks are easy to spin coat, but they have low adhesion and low resistance to HF solutions limiting etching depths at several tens of micrometers19,20,21,22,23,24,25. Si-based masks are highly resistant to hydrofluoric acid solution4,37,38,39,40,41. However, the fabrication of low-stress Si-based layers is challenging (e.g., thick a:Si layers) and may require additional technological steps (e.g., alkaline mask removal, anodic bonding of Si plates for borosilicate glass etching). Cr/Au-based metal masks are the most commonly used in wet glass etching4,7,23,29,30,31. Chromium ensures high adhesion of gold films to glass, while gold is highly inert in HF solutions, which ensures deep microstructures etching. High cost and high diffusing ability of gold masks limit its possible applications. Refractory metals such as molybdenum and chromium are successfully used for deep glass etching26,27,28,34,35,36. However, these metals tend to form high-stress layers requiring an advanced deposition process. Key benefits of molybdenum film are low dissolution rates in HF acid (near 19 Å/min) and high adhesion to the glass substrate42, as well as lower cost compared to gold-based masks.

Physical properties of protective metal masks, substrate-metal interface and BOE solution concentration directly affect the quality of glass etching (Fig. 1). Microdefects and metal films discontinuity cause pinhole defects on the glass surface (Fig. 1a). Poor adhesion results in protective mask undercutting and high lateral etch rates (Fig. 1b). High stress in the mask layers leads to the formation of microcracks (Fig. 1c) or increased roughness of etched edges (Fig. 1d). A high content of reaction etching products can lead to a substantial increase in the etched surface roughness (Fig. 1e) or even an irregular etching profile (Fig. 1f). High quality fused glass etching is characterized by both high etching rate and perfect surface quality, as well as a high etching isotropy. Etching solution optimization is one of the effective ways to improve etching quality, prevent defect formation and maintain mask stability.

Glass defects obtained after BOE etching process: (a) optical image of pinholes on a glass surface and SEM images of: (b) mask undercutting defect; (c) microcracks of a glass substrate; (d) rough structure edges; (e) high surface roughness; (f) irregular etching profile.

In this work, we report on multilevel fused silica glass microstructures fabrication route based on over-200 μm deep wet etching through a stepped protective photoresist/metal mask with just a one process of two-step photolithography based on photoresist layers thicker than 1 micron43. There is trustworthy information on the effect of the concentration of the BOE solution on etched microstructures quality and mask resistance for deep glass etching process. For example, the influence of BOE solution concentration on SiO2 etching profile shape for just a few micrometers depth is described in Ref.44. Our study reveals the dependence of the etching rate, depth, isotropy and mask stability on the composition of the BOE solution. Variation of BOE concentration leads to changing pH and amount of reaction species which affect the etching quality. The proposed etching process ensures mask stability, high etching rate and isotropy allowing to get multilevel etch profile in fused glass with a one initial photolithography step.

Fused quartz is a pure silica glass (SiO2) in amorphous form. Breaking Si–O bonds is a critical aspect of the etching process since Si–O bonds have high bond strength (810 kJ/mol versus 327 kJ/mol Si–Si bond strength)45. Generally, the dissolution mechanism of SiO2 is described by three iterative stages: surface protonation, nucleophilic attack of the electrophilic silicon atom, and formation of the Si–F bond (Fig. 2). Depending on pH, there are two main effects in a solution: so-called surface and concentration effects45,46. First, chemical equilibrium is established between the fluorine-containing components of the solution. Second, SiOH2+, SiOH, or SiO– groups are formed on a glass surface due to a protonation or loss of a proton. The relative concentration of each of the three groups determines the reactivity of surface layers and depends on the pH of the solution. The number of SiOH groups prevails in the region of the SiO2 isoelectric point pI (pI value for SiO2 varies from 2 to 4)47. Below the isoelectric point, the concentration of SiOH2+ groups increases. Above it, the concentration of SiO– groups increases. The replacement of the OH– group from neutral SiOH is slower than the removal of H2O from the protonated SiOH2+ group45.

Dissolution reaction mechanism of SiO2 in HF-based solutions: (a–c) equilibrium reaction of surface silanol groups in etched solution with different pH-value; (d) nucleophilic attack of the electrophilic silicon atom; (e–g) formation of the Si–F bonds.

The etching process is also influenced by etching solution composition, concentration of components, temperature, and mixing intensity of the solution. Elevated process temperature leads to increased etching rate and decreased probability of BOE solution crystallization during etching48 but could worsen the etching isotropy49. However, concentrated HF solution starts to fume during etching, and elevated process temperature increases vapor generation, which is also a powerful contact poison for operator50. Solution mixing only slightly affects the dissolution rate, because the process is kinetically controlled46, but it can cause severe mask damage35. The etching rate increases with increasing HF content in the BOE solution. Adding an ammonium fluoride buffer NH4F to HF raises the etching resistance of photoresist masks and helps to maintain the etching rate19, but its dependence on the NH4F content is non-linear. The etching rate increases with a small addition of NH4F to a certain concentration, but with a further NH4F concentration increase, it starts decreasing51. Thus, the etch rate depends on the percentage of etching particles in the solution, which can be described as solution pH. The pH of NH4F/HF systems is calculated according to (1) the Henderson–Hasselbalch equation52

where Ka is the dissociation constant of the weak acid, pKa = log Ka, and [HA] and [A–] are the molarities of the weak acid and its conjugate base.

There are many compounds described by (2)–(5) in BOE solution: HF, \({F}^{-}\) , \({(HF)}_{2}\) , \({HF}_{2}^{-}\) , \({NH}_{4}^{+}\) , \({H}^{+}\) . In high HF-concentrated solutions the HF-based complexes like (HF)nF– are formed52. \({HF}_{2}^{-}\) and \({(HF)}_{2}\) are the reaction species in HF solutions and the etching reaction rate with \({HF}_{2}^{-}\) is 2000–3000 times faster than with \({(HF)}_{2}\) 45,54, which can be explained by the bond angle of the species (180° and 90°, respectively)45. It has been shown that \({F}^{-}\) does not take part in etching reaction or, at least, that etching by \({F}^{-}\) is negligible53. The main reactions and equilibrium constants of reactions occurring in BOE solution, according to Refs.55,56:

HF dissociation constant, k1 = 6, 9 × 10–4 mol/L.

NH4F dissociation constant, k2 = 44, 17 mol/L.

Complex formation HF, kd1 = 4, 0 L/mol.

HF dimer formation, kd2 = 2, 7 L/mol.

There several works describing calculations of reactive species percentage in BOE solution for different HF concentrations45,53,54,57, but they do not reflect the required range of BOE concentrations considered in this work. To describe the etching solution, the abbreviation "BOE n:m" will be used. This abbreviation refers to a mixing ratio of n parts by volume of 40% weight of NH4F and m parts 49% weight of HF. We calculated the relative content of components in BOE solution with the ratio n:m and solution pH using the reaction constants (2)–(5) (Fig. 3). These dependences are qualitative, since it do not take into account the formation of more complex particles and is calculated at room temperature, but it makes possible to visually understand the etching process.

The calculated fractions of main components in BOE solutions as a function of pH and BOE n:m ratio.

Glass etching proceeds in the general case according to (6):

The reaction is multistage, proceeding according to (7) and (8):

Taking into account that \({HF}_{2}^{-}\) and \({(HF)}_{2}\) are reaction species in BOE solutions, (7) can proceed along two pathways (9) and (10). The dominant reaction depends on the particles percentage of each type in the solution, which in turn depends on the concentration of the initial reagents in the solution.

Thus, the fused silica etching rate will be dominantly depend on the concentration of the initial reagents in BOE solution. One can assume that the maximum etching rate will take place in the region close to the maximum concentration of \({HF}_{2}^{-}\) .

Fused silica glass 25 mm × 25 mm substrates of 500 µm thick are used in this study. The wafers were cleaned in organic solvents and sulfur-peroxide solutions (Piranha solution). A protective mask consisting of 200 nm thick molybdenum is sputtered on the top of glass wafer by magnetron sputtering at a base pressure of 3 mTorr. A 3 µm thick positive phorotesist (SPR220) was spin-coated on the wafers and patterned using standard photolithography process. Exposed areas of Mo were etched away in nitric, acetic and orthophosphoric acids solution (Al etchant Type A). Subsequent heat treatment leads to strong crosslinking of the photoresist layer, which prevents the etching solution from penetrating deep into the mask.

Glass etching solution is freshly prepared by mixing hydrofluoric acid (HF 49%) and ammonium fluoride (NH4F 40%). The volume ratio (NH4F to HF) varies from 1 to 14 for glass etching. Buffered oxide etchant prevents strong penetration of the solution into the mask-substrate interface, pinhole formation and stabilizes etch rate. The process is carried out in a fluoroplastic tank with temperature control at a temperature of 60 °C to increase the etching rate and prevent the crystallization of the solution. Test line structures with a width from 5 to 200 µm are further used to evaluate the etching process. The etching process was controlled by optical and scanning electron microscopy to assess the depth of etching and the quality of the surface after etching.

After each etching process the photoresist and metal masks were removed, the samples were cleaved to evaluate the etching profile of the test structures. There is no data available for BOE 1:1 concentration, as the mask completely lost adhesion during etching. For BOE concentrations higher than 2.5, the mask withstood the etching process for 60 min. Figure 4 demonstrates scanning electron microscope (SEM) images of etched test lines with a width of 50 µm for a different BOE concentration and 60 min etching time.

SEM images of cleaved 50 μm wide test structures after wet etching and masks removal: (a) BOE 2.5:1—non-isotropic etch region; (b) BOE 3:1 and (c) BOE 4:1—isotropic etch region; (d) BOE 7:1, (e) BOE 10:1, and (f) BOE 14:1—mask undercutting etch region.

There are three typical regions that can be distinguished in term of etch profile shape: isotropic etching, non-isotropic etching and mask-undercutting region. Etching in solutions less than BOE 3:1 (Fig. 4a) tends to form uneven etching bottom and non-isotropic profile characterized by higher lateral velocity then vertical one. For BOE 7:1 and higher (Fig. 4c–e), we observed undercut defects through the mask with high roughness. Between these regions (Fig. 4b,c) there is a defect-free etching, which is characterized by the absence of mask-undercutting and isotropic profile. BOE 3:1 and 4:1 provides smooth and defect-free surface. The maximum etch depth over-200 μm is obtained with BOE 3:1.

We demonstrate the dependencies (Fig. 5) of etch isotropy (the ratio of lateral undercut “h” to etching depth “H”) and etch rate of fused silica on a BOE solution concentration (red and blue dots respectively). One can see that the maximum etch rate corresponds to BOE 3:1 with the maximum \({HF}_{2}^{-}\) concentration. The etch isotropy deviates from unity and the etch rate decreases for all the other concentrations, which occur due to chemical reactions in etch solution with its composition changing. For example, at high NH4F (BOE > 4:1) concentration dominating F– and NH4+ ions from the dissociation reaction of the NH4F buffer additive (2) negatively influences the etching process. NH4+ ions inactivate \({HF}_{2}^{-}\) leading to complex formation of a sparingly soluble NH4HF2 crystal. Besides, NH4+ ions passivate a negatively charged SiO2 surface, preventing etching reaction by blocking deep penetration into SiO2. Another negative effect is a precipitation of reaction products (11, 12) due to its limited solubility48.

The dependence on BOE concentration and pH value for different etched test line widths of fused silica etched profile isotropy (red dots), etch rate (blue dots), and preferred isotropy (solid line).

One can notice that the big amount of NH4F (BOE > 4:1) in solution decreases etching rate due to glass surface passivation with deposition of insoluble reaction products. In contrast, area with a high HF content (BOE < 3:1) are characterized by decrease in mask resistance and increase in the lateral etching rate. In common, a decrease of the etching rate is observed with a decrease in the width of the etched test lines. The etching rate for narrow test lines (5 μm) is 1.05–1.2 times lower than for the wider test lines (200 μm). It can be explained by the fact that in wide trenches all the reagents and reaction products are removed faster than the solution starts to deplete.

We propose a fabrication route for multilevel glass structures including through-wafer holes and membranes in fused silica glass through a stepped mask. We used a 500-µm thick fused silica UV-grade wafers (Siegert wafer), which were cleaned in a Piranha solution (H2SO4:H2O2) at 120 °C. A 200 nm-thick molybdenum protective mask layer was magnetron sputtered at 3 mTorr process pressure on both sides of the wafers. Next, we relieved residual stresses in metal by post-thermal annealing with a temperature above 600 °C in argon atmosphere. A stepped resist mask was patterned on glass wafers with a two-step lithography (Fig. 6a) in a spin-coated 5-um thick MEGAPOSIT SPR-220–7.0 photoresist layer (Micro resist technology GmbH, Germany). In the exposed area, a protective molybdenum film was wet etched in a mix of nitric, acetic and orthophosphoric acids (Al etchant Type A, Transene company Inc.) at room temperature according to the molybdenum-aluminum compatible etching solution. Hard baking at 120 °C was performed before wet etching the wafers to improve thermo-crosslinking-enhanced mechanical properties of the photoresist layer. Glass etching was carried out at 60 °C in the proposed BOE 3:1 solution freshly prepared from NH4F 40% (Sigma Aldrich Inc.) and HF 49% (Technic Inc.) to form the firs etched level (Fig. 6b). Further, we local stripped two-layer (resist-Mo) protective mask by oxygen plasma etching of photoresist thinner layer (Fig. 6c) and followed molybdenum wet etching through open resist areas. The second glass etching step using the same procedure ensure forming the required microstructural multilevel mold (Fig. 6d). The process was tested on the microstructure fabrication with a nominal thickness of 100 ± 5 µm (Fig. 6e). By using the optical profilometer we measured surface roughness of the microstructure showed in Fig. 6f (Sa = 2.5 nm on the area of 111 µm × 94 µm). By varying the amount of photolithography steps, the number of etching steps and levels correspondingly can be increased.

Multilevel fused silica glass microstructures fabrication route: (a) stepped profile resist patterning with two-step photolithography; (b) opening of the window in the metal mask and the first stage of etching; (c) opening of the second stage mask; (d) second pickling stage; (e) SEM image of the cleaved membrane microstructure (etching result, × 80); (f) SEM image of the cleaved membrane surface (× 500).

The proposed method for processing fused silica glass and multilevel microstructures patterning clearly demonstrates the possibility of forming membranes (Fig. 7a), microchannels (Fig. 7b), and multilevel microstructure with through-wafer holes (Fig. 7c). It opens the way to use the key advantages of fused silica glass, especially its thermal expansion coefficient, optical transparency, and high chemical inertness for fabrication microdevices with complex multilevel elements and multi wafer assembly.

Fused silica etched microstructures: (a) optical image of 60 μm-thickness membrane (× 5); (b) SEM image of microchannel (× 150); (c) SEM image of two-level microstructure (cantilever-like) with through-wafer hole (× 80).

In this work, we proposed a defect-free multilevel fused silica glass microstructures fabrication route based on deep wet etching in BOE solution through a stepped mask with two-step photolithography. Fused silica glass is widely used in high quality factor MEMS devices due to stable properties under critical conditions. However, high-quality glass processing is possible in extremely reactive and dangerous solutions of hydrofluoric acid, which contributes to the formation of various defects. We reported a theoretical description of the etching process in terms of the reactions and the products formed. Then, composition of main fluoride components like \({HF}_{2}^{-}\) , \({F}^{-}\) , \({(HF)}_{2}\) as a function of BOE solution concentration and pH value were calculated for fused silica etching rate estimation. Based on our calculation and experiments we demonstrated that BOE concentration (NH4F:HF from 1:1 to 14:1) directly determines the metal/photoresist mask resistance, etch rate and profile isotropy. We used molybdenum thin mask as a protective layer due to low dissolution rates in HF-based solutions and high adhesion to glass substrates. We confirmed our analytical evaluation with the experimental results by demonstrating the isotropy etching with smooth surface and maximum etching rate provided at BOE concentration of 3:1 (pH 3.75). It corresponds to calculated maximum of \({HF}_{2}^{-}\) content. Finally, we demonstrate a fabrication route based on high resistant protective mask for multilevel microelements patterning. It includes three key steps: one process of two-step lithography, wet etching in BOE 3:1 and ion-plasma photoresist thinning. The process ensures achieving a high-quality multilevel isotropic over-200 μm etching with the rate up to 3 μm/min for advanced fused silica microdevices with flexure suspensions, inertial masses, microchannels, and through-wafer holes. It should be noted that the proposed route assumes just a one initial photolithography step, which is critically important for advanced microdevices as it requires no mask formation on a non-planar prepatterned surfaces.

The datasets used and/or analyzed during the current study available from the corresponding author on reason-able request.

Beitia, J., Clifford, A., Fell, C., & Loisel, P. Quartz pendulous accelerometers for navigation and tactical grade systems. In 2015 DGON Inertial Sensors and Systems Symposium (ISS) 1–20. (IEEE, 2015).

Grosse, A., Grewe, M. & Fouckhardt, H. Deep wet etching of fused silica glass for hollow capillary optical leaky waveguides in microfluidic devices. J. Micromech. Microeng. 11(3), 257 (2001).

Article  ADS  CAS  Google Scholar 

Ryzhkov, V. V. et al. Cyclic on-chip bacteria separation and preconcentration. Sci. Rep. 10(1), 1–12 (2020).

Zhu, H., Holl, M., Ray, T., Bhushan, S. & Meldrum, D. R. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition. J. Micromech. Microeng. 19(6), 065013 (2009).

Bushunov, A. A., Tarabrin, M. K. & Lazarev, V. A. Review of surface modification technologies for mid-infrared antireflection microstructures fabrication. Laser Photon. Rev. 15(5), 2000202 (2021).

Article  ADS  CAS  Google Scholar 

Kharlamov, M. S., Guseva, O. S. & Konovalov, S. F. Features of the application of reactive ion etching of quartz in the production of pendulums of Q-Flex accelerometers. Russ. Microlectron. 49, 184–194 (2020).

Nagarah, J. M. & Wagenaar, D. A. Ultradeep fused silica glass etching with an HF-resistant photosensitive resist for optical imaging applications. J. Micromech. Microeng. 22(3), 035011 (2012).

Vong, T. et al. A DNA-based strategy for dynamic positional enzyme immobilization inside fused silica microchannels. Chem. Sci. 2(7), 1278–1285 (2011).

Wang, X., Cheng, C., Wang, S. & Liu, S. Electroosmotic pumps and their applications in microfluidic systems. Microfluid. Nanofluid. 6, 145–162 (2009).

Article  CAS  PubMed  Google Scholar 

Tang, Y. H., Lin, Y. H., Shiao, M. H. & Yu, C. S. Development of thin quartz glass utilising through-glass-via (TGV) formation by dry etching technology. Micro Nano Lett. 11(10), 568–571 (2016).

Iliescu, C., Chen, B., & Miao, J. Deep wet etching-through 1mm pyrex glass wafer for microfluidic applications. In 2007 IEEE 20th International Conference on Micro Electro Mechanical Systems (MEMS) 393–396. (IEEE, 2007).

Andronic, M. M., Rodionov, I. A., & Tsvetkov, Y. B. Digital design as a key approach to shortening MEMS development cycle. In ITM Web of Conferences, vol. 35, 01003. (EDP Sciences, 2020).

Hof, L. A. & Abou Ziki, J. Micro-hole drilling on glass substrates—A review. Micromachines 8(2), 53 (2017).

Article  PubMed Central  Google Scholar 

Toombs, J. T. et al. Volumetric additive manufacturing of silica glass with microscale computed axial lithography. Science 376(6590), 308–312 (2022).

Article  ADS  CAS  PubMed  Google Scholar 

Baklykov, D. A. et al. Self-controlled cleaving method for silicon DRIE process cross-section characterization. Micromachines 12(5), 534 (2021).

Article  PubMed  PubMed Central  Google Scholar 

Iliescu, C. & Tay, E. F. Wet etching of glass for MEMS applications. ROMJIST 9(4), 285–310 (2006).

Lin, L. et al. Investigation of fused silica glass etching using C4F8/Ar inductively coupled plasmas for through glass via (TGV) applications. Microsyst. Technol. 22(1), 119–127 (2016).

Park, H. et al. A review of wet chemical etching of glasses in hydrofluoric acid based solution for thin film silicon solar cell application. Curr. Photovolt. Res. 5(3), 75–82 (2017).

Steingoetter, I., Grosse, A., & Fouckhardt, H. Very deep fused silica etching. In Micromachining Technology for Micro-Optics and Nano-Optics, vol. 4984, 234–243. (SPIE, 2003).

Stjernström, M. & Roeraade, J. Method for fabrication of microfluidic systems in glass. J. Micromech. Microeng. 8(1), 33 (1998).

Lin, C. H., Lee, G. B., Lin, Y. H. & Chang, G. L. A fast prototyping process for fabrication of microfluidic systems on soda-lime glass. J. Micromech. Microeng. 11(6), 726 (2001).

Article  ADS  CAS  Google Scholar 

Bien, D. C. S., Rainey, P. V., Mitchell, S. J. N. & Gamble, H. S. Characterization of masking materials for deep glass micromachining. J. Micromech. Microeng. 13(4), S34 (2003).

Iliescu, C. I. P. R. I. A. N., Tan, K. L., Tay, F. E., & Miao, J. I. A. N. M. I. N. Deep wet and dry etching of Pyrex glass: A review. In Proceedings of the ICMAT (Symposium F), Singapore, 75–78 (2005).

Lin, C. H., Chen, K. W. & Li, T. Y. Rapid soda-lime glass etching process for producing microfluidic channels with higher aspect ratio. Microsyst. Technol. 20(10), 1905–1911 (2014).

Bahadorimehr, A. & Majlis, B. Y. Fabrication of glass-based microfluidic devices with photoresist as mask. Elektronika ir Elektrotechnika 116(10), 45–48 (2011).

Koutny, L. B., Schmalzing, D., Taylor, T. A. & Fuchs, M. Microchip electrophoretic immunoassay for serum cortisol. Anal. Chem. 68(1), 18–22 (1996).

Article  CAS  PubMed  Google Scholar 

Steingoetter, I. & Fouckhardt, H. Deep fused silica wet etching using an Au-free and stress-reduced sputter-deposited Cr hard mask. J. Micromech. Microeng. 15(11), 2130 (2005).

Article  ADS  CAS  Google Scholar 

Mazurczyk, R., Vieillard, J., Bouchard, A., Hannes, B. & Krawczyk, S. A novel concept of the integrated fluorescence detection system and its application in a lab-on-a-chip microdevice. Sens. Actuators B Chem. 118(1–2), 11–19 (2006).

Mourzina, Y., Steffen, A. & Offenhäusser, A. The evaporated metal masks for chemical glass etching for BioMEMS. Microsyst. Technol. 11(2), 135–140 (2005).

Fan, Z. H. & Harrison, D. J. Micromachining of capillary electrophoresis injectors and separators on glass chips and evaluation of flow at capillary intersections. Anal. Chem. 66(1), 177–184 (1994).

Simpson, P. C., Woolley, A. T. & Mathies, R. A. Microfabrication technology for the production of capillary array electrophoresis chips. Biomed. Microdevice 1(1), 7–26 (1998).

Iliescu, C., Miao, J. & Tay, F. E. Stress control in masking layers for deep wet micromachining of Pyrex glass. Sens. Actuators A 117(2), 286–292 (2005).

Lee, H. W., Bien, D. C., Badaruddin, S. A. M. & Teh, A. S. Thin film Ag masking for deep glass micromachining. Electrochem. Solid-State Lett. 13(11), H399 (2010).

Shaburova, N., Ratmanov, T. D., & Larionov, D. D. Process engineering of dimensional fused silica etching. In Materials Science Forum, vol. 870, 20–25. (Trans Tech Publications Ltd, 2016).

Ceyssens, F. & Puers, R. Deep etching of glass wafers using sputtered molybdenum masks. J. Micromech. Microeng. 19(6), 067001 (2009).

Niharika, J., Chauhan, S. S., Kumar, N., Dutta, S., & Manhas, S. K. Fabrication of microfluidcs channel with bilayer mo mask and glass bonding using custom design clamp. In TENCON 2019–2019 IEEE Region 10 Conference (TENCON) 67–72. (IEEE, 2019).

Talebi, M., Cobry, K., Sengupta, A. & Woias, P. Transparent glass/su8-based microfluidic device with on-channel electrical sensors. Multidiscip. Digit. Publ. Inst. Proc. 1(4), 336 (2017).

Corman, T., Enoksson, P. & Stemme, G. Deep wet etching of borosilicate glass using an anodically bonded silicon substrate as mask. J. Micromech. Microeng. 8(2), 84 (1998).

Article  ADS  CAS  Google Scholar 

Iliescu, C., Miao, J. & Tay, F. E. Optimization of an amorphous silicon mask PECVD process for deep wet etching of Pyrex glass. Surf. Coat. Technol. 192(1), 43–47 (2005).

Poenar, D. P., Iliescu, C., Carp, M., Pang, A. J. & Leck, K. J. Glass-based microfluidic device fabricated by parylene wafer-to-wafer bonding for impedance spectroscopy. Sens. Actuators A 139(1–2), 162–171 (2007).

Iliescu, C. & Chen, B. Thick and low-stress PECVD amorphous silicon for MEMS applications. J. Micromech. Microeng. 18(1), 015024 (2007).

Todd, G. Thin film resistance to hydrofluoric acid etch with applications in monolithic microelectronic/MEMS integration (Doctoral dissertation) (2003).

Andronic, M. M., Bobrova, J. S., Rodionov, I. A., & Tsvetkov, Y. B. Contact photolithography modeling for thick photoresists layers. In AIP Conference Proceedings, vol. 2383, No. 1, 020012. (AIP Publishing LLC, 2022).

Parisi, G. I., Haszko, S. E. & Rozgonyi, G. A. Tapered windows in SiO2: The effect of NH4F: HF dilution and etching temperature. J. Electrochem. Soc. 124(6), 917 (1977).

Article  ADS  CAS  Google Scholar 

Knotter, D. M. (2010). The chemistry of wet etching. In Handbook of Cleaning in Semiconductor Manufacturing: Fundamental and Applications, 95–141.

Spierings, G. A. C. M. Wet chemical etching of silicate glasses in hydrofluoric acid based solutions. J. Mater. Sci. 28(23), 6261–6273 (1993).

Article  ADS  CAS  Google Scholar 

Reinhardt, K., & Kern, W. (Eds.). Handbook of Silicon Wafer Cleaning Technology. (William Andrew, 2018).

Kikyuama, H. et al. Principles of wet chemical processing in ULSI microfabrication. IEEE Trans. Semicond. Manuf. 4(1), 26–35 (1991).

Parisi, G. I., Haszko, S. E. & Rozgonyi, G. A. Tapered windows in SiO2: The effect of NH 4 F: HF dilution and etching temperature. J. Electrochem. Soc. 124(6), 917 (1977).

Article  ADS  CAS  Google Scholar 

Özcan, M., Allahbeickaraghi, A. & Dündar, M. Possible hazardous effects of hydrofluoric acid and recommendations for treatment approach: A review. Clin. Oral Investig. 16, 15–23 (2012).

Proksche, H., Nagorsen, G. & Ross, D. The influence of NH4F on the etch rates of undoped SiO2 in buffered oxide etch. J. Electrochem. Soc. 139(2), 521 (1992).

Article  ADS  CAS  Google Scholar 

Po, H. N. & Senozan, N. M. The Henderson–Hasselbalch equation: Its history and limitations. J. Chem. Educ. 78(11), 1499 (2001).

Verhaverbeke, S. et al. The etching mechanisms of SiO2 in hydrofluoric acid. J. Electrochem. Soc. 141(10), 2852 (1994).

Article  ADS  CAS  Google Scholar 

Judge, J. S. A study of the dissolution of SiO2 in acidic fluoride solutions. J. Electrochem. Soc. 118(11), 1772 (1971).

Article  ADS  CAS  Google Scholar 

Bühler, J., Steiner, F. P. & Baltes, H. Silicon dioxide sacrificial layer etching in surface micromachining. J. Micromech. Microeng. 7(1), R1 (1997).

Chemistry reference. http://chemistry-reference.com/reaction.asp?rxnnum=494 (accessed 25 Aug 2022).

Le Tiec, Y., Rigaudière, J. & Pizzetti, C. The chemistry of co-injected BOE. ECS Trans. 99, 377–384 (2000).

Technology was developed and samples were fabricated at the BMSTU Nanofabrication Facility (Functional Micro/Nanosystems, FMNS REC, ID 74300).

FMN Laboratory, Bauman Moscow State Technical University, Moscow, 105005, Russia

TG Konstantinova, MM Andronic, DA Baklykov, VE Stukalova, DA Ezenkova, EV Zikiy, MV Bashinova, AA Solovev, ES Lotkov, IA Ryzhikov & IA Rodionov

Dukhov Automatics Research Institute, VNIIA, Moscow, 127030, Russia

TG Konstantinova, DA Baklykov, DA Ezenkova, EV Zikiy, ES Lotkov & IA Rodionov

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

You can also search for this author in PubMed  Google Scholar

T.G.K., M.M.A. and I.A. Rodionov (I.A.R.) conceptualized the ideas of the project. T.G.K., M.M.A. and V.E.S. prepared writing-original draft. T.G.K., M.M.A., D.A.B. and M.V.B. fabricated experimental samples and discussed results. M.M.A. and V.E.S. performed double-side gray-scale photolithography technology. D.A.E. and D.A.B. performed morphology characterization. E.V.Z. and E.S.L. performed mask evaporation process. A.A.S. and D.A.B. performed mask dry etching process. T.G.K., M.M.A. and I.A.R. reviewed and edited the manuscript. I.A.R. and I.A.Ryzhikov supervised the project. All authors analyzed the data and contributed to writing the manuscript.

Correspondence to I. A. Rodionov.

The authors declare no competing interests.

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Open Access This article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons licence, and indicate if changes were made. The images or other third party material in this article are included in the article's Creative Commons licence, unless indicated otherwise in a credit line to the material. If material is not included in the article's Creative Commons licence and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this licence, visit http://creativecommons.org/licenses/by/4.0/.

Konstantinova, T.G., Andronic, M.M., Baklykov, D.A. et al. Deep multilevel wet etching of fused silica glass microstructures in BOE solution. Sci Rep 13, 5228 (2023). https://doi.org/10.1038/s41598-023-32503-w

DOI: https://doi.org/10.1038/s41598-023-32503-w

Anyone you share the following link with will be able to read this content:

Sorry, a shareable link is not currently available for this article.

Provided by the Springer Nature SharedIt content-sharing initiative

By submitting a comment you agree to abide by our Terms and Community Guidelines. If you find something abusive or that does not comply with our terms or guidelines please flag it as inappropriate.

Scientific Reports (Sci Rep) ISSN 2045-2322 (online)

Deep multilevel wet etching of fused silica glass microstructures in BOE solution | Scientific Reports

Chinese Equivalent Material Of N-Bk7 Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.